** GHDL GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL offers three machine code generation backends: one based on GCC, one using the LLVM compiler suite and a GHDL specific one called mcode. These are available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively. Both the GCC and LLVM backends create highly optimized code for excellent simulation performance while simulations compiled with the GCC backend also allow coverage testing using gcov. The mcode backend creates less performant code but makes up for it with much faster compilation. It is therefore preferable for smaller projects without large or long running simulations. Multiple backends can be installed at the same time and selected by either invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or by providing a GHDL_BACKEND environment variable (containing gcc, llvm or mcode) while invoking ghdl. ghdl --help ghdl --clean ghdl -a xxx.vhdl analyse ghdl -e unit_name elaborate ghdl -r unit_name run/compile Options: --work=NAME Name of the WORK library, i.e. ghdl -a --work=foo foo.vhdl --std=STD Which VHDL standard (87|93|93c|00|02), i.e. ghdl -a --std=87 old.vhdl --ieee=VER Which IEEE library (none|standard|synopsys|mentor), i.e. ghdl -a --ieee=synopsys broken.vhdl --no-vital-checks Disable VITAL restriction checking, i.e. ghdl -a --no-vital-checks unsupported_vital.vhdl Executables created by GHDL have addition simulation options. The most important ones are listed below: --assert-level=LVL stop simulation: none|note|warning|error|failure, i.e. ./touchy_design --assert-level=note --stop-time=TIME Stop simuation after TIME, i.e. ./design --stop-time=50ns --vcd=FILENAME Dump VCD to FILENAME (a waveform dump, viewable with--for instance--gtkwave), i.e. ./design --vcd=design.vcd --sdf=[TYPE=]PATH=FILENAME Back annotate SDF onto design using TYPE (min|typ|max), instance PATH, and SDF file FILENAME, i.e. ./sdf_design --sdf=typ=top/inst=inst.sdf **GTKWave zeigt .vcd Dateien an gtkwave is a viewer for VCD (Value Change Dump) files which are usually created by digital circuit simulators.